Multiplying 2 8-bit number

Discussion in 'Assembly Language Programming (ALP) Forum' started by zincz, Sep 2, 2012.

  1. zincz

    zincz New Member

    Joined:
    Sep 2, 2012
    Messages:
    2
    Likes Received:
    0
    Trophy Points:
    0
    Occupation:
    Teacher
    Location:
    Asia Pacific region
    1. Can someone help me with a sample program how I can multiply two 8-bit numbers in Assembly Language Programming (that can work in 8086 processor?
    2. And also the same for Division of two 8-bit numbers in ALP, please? :confused:
    Will be very grateful to see a reply to the above questions. thanks.
     
  2. bparker1084

    bparker1084 Banned

    Joined:
    Oct 1, 2012
    Messages:
    7
    Likes Received:
    1
    Trophy Points:
    0
    Occupation:
    developer
    Location:
    San Jose
    Home Page:
    http://www.hiddenbrainsindia.com/
    Multiplication of two 8-bit numbers :
    Mov ax,[2020h]
    mov bx,[2022h]
    mul bl
    mov [2024h],ax
    hlt
     
    zincz likes this.

Share This Page

  1. This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
    By continuing to use this site, you are consenting to our use of cookies.
    Dismiss Notice